2.1 Hellow World!

简介:

首先先来练习一个不需要其他辅助元件,只需要一块Arduino 和一根下载线的简单实验,让arduino上面的一个LED实现有规律的闪烁,这也是一个入门试验,希望可以带领大家进入Arduino 的世界。

原理:

Arduino 自带的数字13 口LED,让Arduino 接受到指令时LED 闪烁一下。

视频效果:

程序:

void setup() {
// initialize digital pin LED_BUILTIN as an output.
pinMode(LED_BUILTIN, OUTPUT);
}

// the loop function runs over and over again forever
void loop() {
digitalWrite(LED_BUILTIN, HIGH); // turn the LED on (HIGH is the voltage level)
delay(1000); // wait for a second
digitalWrite(LED_BUILTIN, LOW); // turn the LED off by making the voltage LOW
delay(1000); // wait for a second
}
微信群
微信群
联系我们

联系我们

微信:13823392571

在线咨询:点击这里给我发消息

工作时间:周一至周五,9:30-18:30,节假日休息

微信客服
微信客服
分享本页
返回顶部